Skip to content

Commit

Permalink
Sync-noc: Changed name of constant describing the number of entries i…
Browse files Browse the repository at this point in the history
…n slot table.
  • Loading branch information
rbscloud committed Oct 8, 2013
1 parent 79da5b9 commit 8e987a0
Show file tree
Hide file tree
Showing 2 changed files with 6 additions and 6 deletions.
8 changes: 4 additions & 4 deletions noc/src/nAdapter.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -75,7 +75,7 @@ architecture rtl of nAdapter is


------------------------------ signal declarations --------------------------------
signal slt_index : std_logic_vector(SLT_WIDTH-1 downto 0);
signal slt_index : std_logic_vector(ADDR_SLT_WIDTH-1 downto 0);
signal sc_en : std_logic;
signal slt_en : std_logic;

Expand Down Expand Up @@ -201,7 +201,7 @@ begin
-----------------------------------------------------------------------------------------------
-- Slot Counter
slt_cnt : counter
generic map ( WIDTH=>SLT_WIDTH )
generic map ( WIDTH=>ADDR_SLT_WIDTH )
port map ( clk=>na_clk, reset=>na_reset, enable=>sc_en, cnt=>slt_index );

-- DMA Table - simple block ram
Expand All @@ -221,10 +221,10 @@ begin
else '0';
-- Slot Table
slt_table : bram
generic map ( DATA=>DMA_IND_WIDTH+1, ADDR=>SLT_WIDTH )
generic map ( DATA=>DMA_IND_WIDTH+1, ADDR=>ADDR_SLT_WIDTH )
port map (clk => na_clk,
rd_addr => slt_index,
wr_addr => proc_in.MAddr(SLT_WIDTH+1 downto 2), -- byte addresses
wr_addr => proc_in.MAddr(ADDR_SLT_WIDTH+1 downto 2), -- byte addresses
wr_data => proc_in.MData(DMA_IND_WIDTH downto 0), -- LS bits
wr_ena => slt_en,
rd_data => slt_entry
Expand Down
4 changes: 2 additions & 2 deletions noc/src/noc_defs.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -51,8 +51,8 @@ package noc_defs is
constant PHIT_WIDTH : integer := 35; -- see packet format -->32 + 3 control bits

-- scheduling
constant SLT_WIDTH : integer := 3;
constant PRD_LENGTH : integer := 2**SLT_WIDTH; -- 2^6 = 64 -- 2^3 = 8
constant ADDR_SLT_WIDTH : integer := 3;
constant PRD_LENGTH : integer := 2**ADDR_SLT_WIDTH; -- 2^6 = 64 -- 2^3 = 8

constant MAX_PERIOD : integer :=128;

Expand Down

0 comments on commit 8e987a0

Please sign in to comment.