From 8e987a0442d50e4bc9a64dd2733a39480e5f82f3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Rasmus=20Bo=20S=C3=B8rensen?= Date: Tue, 8 Oct 2013 17:02:43 +0200 Subject: [PATCH] Sync-noc: Changed name of constant describing the number of entries in slot table. --- noc/src/nAdapter.vhd | 8 ++++---- noc/src/noc_defs.vhd | 4 ++-- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/noc/src/nAdapter.vhd b/noc/src/nAdapter.vhd index c4ae569..1750759 100644 --- a/noc/src/nAdapter.vhd +++ b/noc/src/nAdapter.vhd @@ -75,7 +75,7 @@ architecture rtl of nAdapter is ------------------------------ signal declarations -------------------------------- -signal slt_index : std_logic_vector(SLT_WIDTH-1 downto 0); +signal slt_index : std_logic_vector(ADDR_SLT_WIDTH-1 downto 0); signal sc_en : std_logic; signal slt_en : std_logic; @@ -201,7 +201,7 @@ begin ----------------------------------------------------------------------------------------------- -- Slot Counter slt_cnt : counter - generic map ( WIDTH=>SLT_WIDTH ) + generic map ( WIDTH=>ADDR_SLT_WIDTH ) port map ( clk=>na_clk, reset=>na_reset, enable=>sc_en, cnt=>slt_index ); -- DMA Table - simple block ram @@ -221,10 +221,10 @@ begin else '0'; -- Slot Table slt_table : bram - generic map ( DATA=>DMA_IND_WIDTH+1, ADDR=>SLT_WIDTH ) + generic map ( DATA=>DMA_IND_WIDTH+1, ADDR=>ADDR_SLT_WIDTH ) port map (clk => na_clk, rd_addr => slt_index, - wr_addr => proc_in.MAddr(SLT_WIDTH+1 downto 2), -- byte addresses + wr_addr => proc_in.MAddr(ADDR_SLT_WIDTH+1 downto 2), -- byte addresses wr_data => proc_in.MData(DMA_IND_WIDTH downto 0), -- LS bits wr_ena => slt_en, rd_data => slt_entry diff --git a/noc/src/noc_defs.vhd b/noc/src/noc_defs.vhd index 1e812e8..32c4a04 100644 --- a/noc/src/noc_defs.vhd +++ b/noc/src/noc_defs.vhd @@ -51,8 +51,8 @@ package noc_defs is constant PHIT_WIDTH : integer := 35; -- see packet format -->32 + 3 control bits -- scheduling - constant SLT_WIDTH : integer := 3; - constant PRD_LENGTH : integer := 2**SLT_WIDTH; -- 2^6 = 64 -- 2^3 = 8 + constant ADDR_SLT_WIDTH : integer := 3; + constant PRD_LENGTH : integer := 2**ADDR_SLT_WIDTH; -- 2^6 = 64 -- 2^3 = 8 constant MAX_PERIOD : integer :=128;